HDL Coder Support Package for Intel FPGA and SoC Devices

Generate and deploy HDL code and Embedded Software from MATLAB and Simulink for Intel FPGA and SoC devices
3.7K 次下载
更新时间 2024/3/20
HDL Coder enables implementation of Simulink models and MATLAB algorithms onto Intel® FPGA and SoC devices for fast prototyping on hardware using the HDL Coder Support Package for Intel FPGA and SoC Devices. Using HDL Coder workflow you can select the FPGA and SoC device, map your algorithm I/O to onboard interface, generate HDL IP core, and synthesize the generated code. HDL Coder also provides integration with Intel tools to synthesize the generated code into bitstream that you can directly download on to the Intel FPGA and SoC devices. When used in combination with Embedded Coder, this solution can be used in a hardware/software workflow spanning simulation, prototyping, verification, and implementation on Intel FPGA and SoC devices.
Starting in R2024a,
For specific board support, visit HDL Coder Supported Hardware
MATLAB 版本兼容性
创建方式 R2014b
兼容 R2014b 到 R2024a 的版本
平台兼容性
Windows macOS (Apple 芯片) macOS (Intel) Linux
标签 添加标签

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!