Main Content

本页采用了机器翻译。点击此处可查看英文原文。

内置模块替换

Simulink® Design Verifier™软件提供了一套模块替换规则,以及相应的替换模块库。在分析模型时使用这些内置模块替换。它们可以作为示例,您可以检查它们来学习如何创建自己的模块替换。

下表列出了出厂默认的模块替换规则,可在 matlabroot\toolbox\sldv\sldv\private 文件夹中找到。每个出厂默认的模块替换规则都有两种实现。文件名以 _normal.m 结尾的规则将模块替换为 Subsystem 模块。

文件名

描述

blkrep_rule_lookup_normal.m

用包含由 断点 参数指定的每个断点和区间的测试目标的实现替换 1-D Lookup Table 模块的规则。

blkrep_rule_lookup2D_normal.m

将测试条件/Proof Assumption 模块添加到 2-D Lookup Table 模块的输入端口的规则。每个测试条件/Proof Assumption 模块模块信号值限制在相应断点向量指定的区间。

blkrep_rule_mpswitch2_normal.m

将测试条件/Proof Assumption模块添加到 数据端口数量 参数为 2Multiport Switch 模块的控制输入端口的规则。测试条件/Proof Assumption模块将信号值限制在区间[1, 2] 内(如果模块使用从零开始的索引,则为 [0, 1])。

blkrep_rule_mpswitch3_normal.m

将测试条件/Proof Assumption模块添加到 数据端口数量 参数为 3Multiport Switch 模块的控制输入端口的规则。测试条件/Proof Assumption模块将信号值限制在区间[1, 3] 内(如果模块使用从零开始的索引,则为 [0, 2])。

blkrep_rule_mpswitch4_normal.m

将测试条件/Proof Assumption模块添加到 数据端口数量 参数为 4Multiport Switch 模块的控制输入端口的规则。测试条件/Proof Assumption模块将信号值限制在区间[1, 4] 内(如果模块使用从零开始的索引,则为 [0, 3])。

blkrep_rule_mpswitch5_normal.m

将测试条件/Proof Assumption模块添加到 数据端口数量 参数为 5Multiport Switch 模块的控制输入端口的规则。测试条件/Proof Assumption模块将信号值限制在区间[1, 5] 内(如果模块使用从零开始的索引,则为 [0, 4])。

blkrep_rule_switch_normal.m

用包含测试目标的实现替换Switch模块的规则,要求当第一和第三个输入端口的值不同时,执行每个开关位置。

blkrep_rule_switch_nonvir_normal.m

该规则用将非虚拟总线输入转换为虚拟总线输入的实现来替换具有非虚拟总线输入的 Switch 模块。该实现包括测试目标,并要求在第一和第三个输入端口的值不同时执行每个开关位置。

blkrep_rule_selector
IndexVecPort_normal.m

将测试条件/Proof Assumption模块添加到 索引选项 参数为 Index vector (port)Selector 模块的索引端口的规则。测试条件/Proof Assumption模块将信号值限制在一个区间,该间隔的端点源自 Selector 模块的 输入端口大小索引模式 参数的值。

blkrep_rule_selector
StartingIdxPort_normal.m

将测试条件/Proof Assumption模块添加到 索引选项 参数为 Starting index (port)Selector 模块的索引端口的规则。测试条件/Proof Assumption模块将信号值限制在一个区间,该间隔的端点源自 Selector 模块的 输入端口大小输出大小索引模式 参数的值。

与出厂默认规则相对应的替换模块库是

matlabroot/toolbox/sldv/sldv/sldvblockreplacementlib