Main Content

Complex to Real-Imag

输出复数输入信号的实部和虚部

  • Complex to Real-Imag block

库:
Simulink / Math Operations
HDL Coder / Math Operations

描述

Complex to Real-Imag 模块输出输入信号的实部和/或虚部,具体取决于输出参数的设置。实数输出与复数输入具有相同的数据类型。输入可以是复信号数组(向量或矩阵),这种情况下,输出信号也是具有相同维度的数组。实数数组包含对应的复数输入元素的实部。同样,虚数输出包含输入元素的虚部。

端口

输入

全部展开

该模块计算并输出实部和/或虚部的复数输入信号。

数据类型: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point

输出

全部展开

输出信号,即输入信号的实部和/或虚部。要选择输出哪个部分,请设置输出参数。

数据类型: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point

参数

全部展开

指定输出是输入信号的实部、虚部还是同时包含实部和虚部。

编程用法

参数:Output
类型:字符串 | 字符向量
值:'Real and imag' | 'Real' | 'Imag'
默认值:'Real and imag'

指定采样时间间隔。要继承采样时间,请将此参数设置为 -1。有关详细信息,请参阅指定采样时间

依存关系

仅当您将此参数设置为 -1 以外的值时才可见。要了解详细信息,请参阅不建议设置采样时间的模块

编程用法

模块参数:SampleTime
类型:字符串标量或字符向量
默认值:"-1"

模块特性

数据类型

Boolean | double | fixed point | integer | single

直接馈通

多维信号

可变大小信号

过零检测

扩展功能

C/C++ 代码生成
使用 Simulink® Coder™ 生成 C 代码和 C++ 代码。

定点转换
使用 Fixed-Point Designer™ 设计和仿真定点系统。

版本历史记录

在 R2006a 之前推出