Main Content

如何消除覆盖率测试缺失

生成模型覆盖率报告后,您可以使用 Simulink® Coverage™ 来帮助您消除模型的覆盖率测试缺失。

Flow chart shows a proposed workflow for model coverage testing. Perform coverage analysis on your model. Evaluate the results. If results are acceptable, proceed to creating and archiving the coverage report. If results are not acceptable, determine the cause of the incomplete coverage, fix the issues, and perform coverage analysis again.

记录和评估覆盖率

启用覆盖率记录并对模型进行仿真后,您可以计算覆盖率数据以查找测试中的缺失。覆盖率报告和模型突出显示可帮助您了解测试用例充分执行了设计的哪些部分。

有关详细信息,请参阅:

消除覆盖率测试缺失

如果覆盖率测试未能充分执行模型,您可以采取以下几个步骤来增加覆盖率:

  • 编辑模型 - 您的模型可能包含不属于所需设计的非预期功能。删除非预期功能。

  • 编辑需求 - 您的需求可能不足以详细说明执行设计的所有部分所需的测试。您可以使用 Requirements Toolbox™ 在 Simulink 环境中编写和编辑需求。有关详细信息,请参阅Test Model Against Requirements and Report Results

  • 创建附加测试 - 现有测试可能无法根据您的要求完全执行预期的模拟输入。您可以使用 Simulink Coverage 创建附加测试,以对这些输入进行建模。有关详细信息,请参阅Automating Model Coverage TasksGenerate Test Cases (Simulink Design Verifier)

  • 扩展现有测试 - 现有测试可能无法执行设计的所有部分。如果您拥有 Simulink Design Verifier™ 许可证,则可以自动生成附加测试以执行设计中未被测试的部分。有关详细信息,请参阅使用测试用例生成逐步增加测试覆盖率

  • 对结果进行申述 - 根据您的设计,模型的某些部分可能不会在仿真期间执行,例如仅在故障期间启用的子系统。要在这种情况下实现全覆盖率,您可以对缺失覆盖率进行申述。有关详细信息,请参阅Create, Edit, and View Coverage Filter Rules

报告和存档结果

如果覆盖率测试充分执行了您的模型,您可以选择对报告结果进行存档。有关详细信息,请参阅:

相关主题