How to implement Matlab Mfile code onto FPGA using Xilinx System Generator

2 次查看(过去 30 天)
Dear,
I need to implement (Down load) some code written in Matlab (Mfile) onto Spartan-3E FPGA using Xiling System Generator Tool. I tried to use System generator Mcode block set to synthesize my Mfile code. But I got error. Can you help me how to implement this project, please?
Thanks,
Desta

回答(1 个)

Veera Kanmani
Veera Kanmani 2018-4-20
https://www.mathworks.com/tagteam/74244_92077v00_Xilinx_WhitePaper_final.pdf

类别

Help CenterFile Exchange 中查找有关 HDL Verifier 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by