How to generate verilog code for thisbelow function using HDL coder?

1 次查看(过去 30 天)
I want to know ,how to generate the verilog code for the below function(divide) using hdl coder.?
T = numerictype('Signed', false,...
'WordLength', 80,...
'FractionLength', 83);
a = fi(20);
b = fi(2);
c = divide(T, a, b);
Thank you.

回答(1 个)

Bharath Venkataraman
You can use the real divide hdl optimized block. Other options include the reciprocal block followed by a multiply or the divide block in Simulink.

类别

Help CenterFile Exchange 中查找有关 HDL Coder 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by