communication between VHDL and Matlab

1 次查看(过去 30 天)
in my final year projet , i need a code wich will allow me to communicate between matlab and VHDL
please can you help me
Thanks in advance

回答(1 个)

Anusha B
Anusha B 2015-3-17
If you are looking for cosimulation where you can cosimulate HDL code with MATLAB or Simulink, HDL Verifier can be helpful.
You can link MATLAB/Simulink and a supported HDL Simulator using functions provided in HDL Verifier and communicate between them.
Hope this helps.

类别

Help CenterFile Exchange 中查找有关 HDL Coder 的更多信息

标签

产品

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by