Can anyone Please me on how to build a analog to digital and digital to analog converter Model in simulink?

5 次查看(过去 30 天)
I have use DAC where i have to convert a digital signal to analog signal so that i can add it to AWGN channel....And the output should be again converted back to digital....I can easily guess that I require a DAC an ADC... but there are no separate blocks for them in Simulink... And I am unable to do it in simulink?...Plz help me...

回答(1 个)

Mike Woodward
Mike Woodward 2011-11-22
Dear Yella,
You might find the new free mixed-signal library useful: http://www.mathworks.com/programs/mixed-signal/index.html
Mike

类别

Help CenterFile Exchange 中查找有关 Data Converters 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by