Info

此问题已关闭。 请重新打开它进行编辑或回答。

Black box in system generator

2 次查看(过去 30 天)
Sandeep Kumar
Sandeep Kumar 2015-11-19
关闭: Sabin 2023-2-2
im implementing an "and" gate in system generator using black box, i have coded the "and" gate in vhdl, i have called the block in black box , my a,b,c are all "std_logic" only, i have given fix_1_0 as gateway input to the black box with constant simulink block, but im nt able to simulate the logic in simulator, its nt showing any simulation error, nothing ,kindly help me !!!

回答(0 个)

此问题已关闭。

产品

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by