Naming logged signals in a Referenced Model

2 次查看(过去 30 天)
I am using Model Referencing to place multiple actuators into a top level Simulink system. Inside the referenced Model block, I use signal logging to get data about the actuator. That signal is named ema_actuator. When I run the top level model with 2 actuators, logsout shows 2 logged signals, both named ema_actuator.
Is there a way to change the name of a signal inside a model reference block so that I can easily differentiate which actuator the signal came from? Or is there a way to name the signal in the model reference block based on a variable (such as an identifier for that specific model block)?

回答(1 个)

Vineeth Kartha
Vineeth Kartha 2016-3-16
Hi,
Please find the attached sample models, In the 'mod1.slx' I have a signal named name1 and in 'topMod.slx' I have a signal named 'name2'. After simulation 'logsout' has both 'name1' and 'name2'. Please verify your model with the provided models and see what you might have configured differently.
Regards Vineeth

类别

Help CenterFile Exchange 中查找有关 Prepare Model Inputs and Outputs 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by