hdl coder stateflow bit concatenation

3 次查看(过去 30 天)
Hi, I am using matlab R2015b with staflow and hdl coder to create VHDL code.
I need to do something like this in vhdl in a matlab function residing in a stateflow chart that hdl coder understands and can compile to vhdl and I can't figure it out.
a : std_logic_vector(2 downto 0);
b, c, d : std_logic;
a <= b & c & d;
Thanks for the help, Amish

采纳的回答

Tim McBrayer
Tim McBrayer 2016-3-16
To concatenate fixpt variables being used as bit fields, use the MATLAB bitconcat function. To extract a range of bits from a larger word you can use bitsliceget.
There are Simulink blocks in the HDL Coder library, in "HDL Operations" that implement this functionality directly in Simulink.

更多回答(0 个)

类别

Help CenterFile Exchange 中查找有关 Code Generation 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by