problem with simulation tool for HDL Coder when 'cosimulation model' and 'SystemVerilog DPI test bench' is selected

1 次查看(过去 30 天)
I have read hdlcoder_ug.pdf,and it says that vivado simulator can be used in page 586. However,there are only Modelsim and Candence in HDL Coder Generation Pane,and vivado simulator and VCS are not exsisting.

回答(1 个)

Tao Jia
Tao Jia 2017-11-2
We are supporting Vivado simulator in certain scenarios but not all cases. For example, vivado simulator is supported in the MATLAB-to-HDL workflow, but not in the Simulink workflow.
Hope this helps, Tao

类别

Help CenterFile Exchange 中查找有关 HDL Coder 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!