Real Value Conversion to Binary with Range

5 次查看(过去 30 天)
Again, i write on the same topic. Sorry for that.
Within the range values (e.g. [0,25]) how can i convert my real numbers to binary digits. The number of bits must be 15..
Thanks

采纳的回答

Walter Roberson
Walter Roberson 2012-5-11
dec2bin(round(Values * 2^10), 15) - '0'

更多回答(1 个)

b
b 2012-5-11
Thanks again.
Do you have any idea to convert the binary digits to real values??

类别

Help CenterFile Exchange 中查找有关 Data Type Conversion 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by