Not getting desired waveform when using the hdl supported free running counter

2 次查看(过去 30 天)
I have generated a sine pwm using sine-triangle comparison method. The sawtooth waveform is generated by a free running (HDL supported)counter with 6-bit. The stepped waveform generated contains only six or seven steps, this I believe incorrect. For a six bit counter, I expect a stepped sawtooth waveform with 64 steps, but I am getting only 6 to 7 stepped sawtooth waveform (Amplitude > 60)!!
Any idea what problem it is?
The solver used is fixed and discrete. This is selected because I want to convert the model into HDL code (Verilog code).
Any further details required..
Regards,

采纳的回答

Tim McBrayer
Tim McBrayer 2013-5-31
编辑:Tim McBrayer 2013-5-31
The HDL Counter has (among other mask parameters) initial value, step value, and count to value. I think you are confusing the count to value with counter bit width. if you want a 64-value counter, one way to configure it is with a start value of 0, a stop value of 63, and an increment of 1. The numeric type for the counter's output is an entirely separate set of configurable mask parameters.

更多回答(0 个)

类别

Help CenterFile Exchange 中查找有关 HDL Coder 的更多信息

标签

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by