Community Profile

photo

Torsten Knodt


A123 Systems GmbH

Last seen: 1 year 前 自 2012 起处于活动状态

Followers: 0   Following: 0

联系

Professional Interests: Rapid Prototyping, Ground Vehicle Physical Simulation, Virtual Integration, Model Based Development, AutoSAR, Symbolic Solutions to Differential Equations, Static and Dynamic Parameter Estimation

统计数据

All
  • First Review
  • Thankful Level 1
  • Revival Level 1
  • Knowledgeable Level 1
  • First Answer
  • Scavenger Finisher
  • Commenter
  • Solver

查看徽章

Feeds

排序方式:

提问


How to continuously repeat the output of the "From Spreadsheet" source block?
Hi, How can I continuously repeat the output of the "From Spreadsheet" source block? The extrapolation at the end does not pro...

4 years 前 | 1 个回答 | 0

1

个回答

提问


How can I draw a mesh into a block mask/ icon?
Hello, I have a masked Simulink block. Now I want to draw a mesh into the icon. Unfortunately the mesh is drawn into an own w...

7 years 前 | 1 个回答 | 0

1

个回答

已回答
Automotive problem - Counting and saving
This depends on your interface to write to the EEPROM. Normally you would count in your RAM and trigger writing to the EEPROM in...

7 years 前 | 0

已回答
How can i change a value of a resistor during simulation using simpowersystems toolbox ( R L C branch )
I had the same requirement. I either use a voltage measurement together with a controlled current source or a current measureme...

7 years 前 | 0

| 已接受

提问


/usr/bin/ld: raccel_sfcn_utils.o: undefined reference to symbol 'dlclose@@GLIBC_2.2.5'
I get the following message when starting a simulation in rapid acceleration mode under Ubuntu Xenial 64 Bit which works under W...

7 years 前 | 0 个回答 | 0

0

个回答

提问


What is the cause of the error message "FIMATH mismatch:Property 'ProductWordLength'" here?
I get the error message FIMATH mismatch:Property 'ProductWordLength': 16 ~= 32 from the following action code in a stat...

7 years 前 | 0 个回答 | 0

0

个回答

已回答
How to define the sampling time in a system of Simscape Power System Blocks without accuracy lost importants?
Hi Tony, it's hard to give an advice without knowing your model, simulation settings and the intent of your simulation. Becaus...

8 years 前 | 0

| 已接受

提问


OutOfMemoryError when building PDF System Design Description for "big" Simulink Model
Hello, I get an OutOfMemoryError when building a System Design Description in PDF and Word format. I already increased the heap...

8 years 前 | 0 个回答 | 0

0

个回答

提问


Initial output value for bus in enabled subsystem hierarchy
I have a hierarchy of subsystems where a middle subsystem is an enabled one. The hierarchical subsystems each have a single outp...

9 years 前 | 1 个回答 | 0

1

个回答

提问


Analyzing and creating fixed-point data types.
Hello, is there a single function to get the minimum and maximum value representable by a specific fixed-point data type? I am ...

11 years 前 | 1 个回答 | 0

1

个回答

提问


Simulink message "Attempt to use invalid data type id 17"
Hello, how can I find out which data type corresponds to a specific id when getting the message "Attempt to use invalid data ty...

12 years 前 | 1 个回答 | 2

1

个回答

提问


"Variable time delay" block in "For Each Subsystem"
Hello, I try to synthesize noise coming from multiple targets to multiple sensors. I have two cascaded for-each sub-systems, th...

12 years 前 | 1 个回答 | 0

1

个回答