Simulink Coverage

模型覆盖率

测量仿真测试对设计的覆盖率,以评估测试的有效性和完整性。分析在基于需求的测试期间收集的覆盖率信息,确定是否存在测试缺口、缺失需求或非预期功能。

代码覆盖率

测量使用 Embedded Coder 生成的代码的软件在环 (SIL) 和处理器在环 (PIL) 测试的覆盖率,或在 Simulink 模型中调用的代码的覆盖率。

管理聚合覆盖率结果

汇总多个仿真的覆盖率。查看通过单元测试实现的系统测试覆盖率。

覆盖率指标

根据各种指标测量模型和代码覆盖率,包括决策、条件、MCDC、关系边界和信号范围。

处理缺失覆盖率

筛选阻止完整测试覆盖率的模型构造(例如防御性建模模式)以重点测试设计的其他部分。

生成覆盖率报告

生成详细报告,其中包括所选指标的累积覆盖率结果。查看模型元素和测试的需求可追溯性详情。