Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?

2 次查看(过去 30 天)
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Verilog HDL-2001 version?

回答(1 个)

Bharath Venkataraman
HDL Coder generates HDL code compliant with Verilog-2001.

标签

产品


版本

R2021b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by