I2C Master block in SOC FPGA

2 次查看(过去 30 天)
Hi everyone,
I have some confuse for the model I2C Master in SOC library. I see the Sda, scl, sclIn, sdaIn, I don't know how to implement these one in Xilinx hardware because in I2C protocol, It just have only one SDA and SCL.
And I am trying to simulate this model but It not run well, I think It need to have ack signal from slave device.
Do you have any example for I2C master block, please give me.

采纳的回答

Kiran Kintali
Kiran Kintali 2022-8-29
Please find attached a sample example of I2C Master and Slave model blocks with behavioral plant models for IMUs.
You can also find I2C Block reference in SoC Blockset here: https://www.mathworks.com/help/soc/ref/i2cmaster.html

更多回答(0 个)

类别

Help CenterFile Exchange 中查找有关 System on Chip (SoC) 的更多信息

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by