photo

Raphael


UCDB

Last seen: 3 months 前 自 2013 起处于活动状态

Followers: 0   Following: 0

消息

统计学

Feeds

排序方式:

提问


HDL Parser error: Unsupported data-type sfixed in "Fpga-in-the-loop"
I have some .vhd files which were not generated using HDL coder but have been successfully co-simulated using modelsim. However ...

11 years 前 | 2 个回答 | 0

2

个回答