Main Content

本页采用了机器翻译。点击此处可查看英文原文。

设计验证器窗格:参数和变体

Configuration parameter window showing Parameters and Variants parameters under Design Verifier pane.

参数窗格概述

指定控制 Simulink® Design Verifier™ 在分析模型时如何使用参数配置的选项。

参数配置

从下拉菜单中的以下选项中指定参数配置:

设置

默认:没有任何

命令行信息

参数: DVParameterConfiguration
类型: 枚举
值: 'None' | 'Auto' | 'DetermineFromGeneratedCode' | 'UseParameterTable' | 'UseParameterConfigFile'
默认: 'None'

另请参阅

使用参数表

使能够

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

禁用

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

清除

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

在模型中突出显示

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

使用

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

用途列指定是否在当前参数配置中使用此行的命名参数和指定的约束。

设置

默认:离开

开启

在当前参数配置中使用此参数及其指定的约束。

关闭

当前参数配置中不要使用该参数及其指定的约束。

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

使用参数表

名称

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

名称列显示参数的名称。

设置

默认值:

提示

要将模型参数加载到参数表中,点击表底部的“Find in Model”。如果可能,软件会自动为每个参数生成约束值。

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

使用参数表

约束

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

约束 列包含该参数的指定值范围。

设置

默认值:

提示

要自动生成参数约束,请在参数表的底部点击Find in Model

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

使用参数表

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

列包含基础工作区中参数的值。如果该参数在链接到模型的Simulink 数据字典中定义,则 列包含数据字典中该参数的值。

设置

默认值:

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

使用参数表

分钟

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

对于具有指定最小值的类型为 Simulink.Parameter 的参数,最小值 列包含该参数的指定最小值。

设置

默认值:

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

最大限度

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

对于具有指定最大值的 Simulink.Parameter 类型的参数,最大值 列包含该参数的指定最大值。

设置

默认值:

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

模型元素

在参数表中,每一行代表一个参数,在Simulink Design Verifier分析期间可以将其约束为指定的值。

模型元素列显示使用该参数的模型元素的路径。

设置

默认值:

依赖

通过将 参数配置 设置为 使用参数表 来启用此列。

另请参阅

使用参数表

来源

在参数表中,每一行代表一个参数,您可以将其限制为您在Simulink Design Verifier分析期间指定的值。

列显示模型参数的工作区信息。

依赖

要启用此参数,请将 参数配置 设置为 使用参数表

查找参数

该软件会在您的模型中搜索您可以配置的参数,并将其加载到参数表中。如果您的模型使用配置参考,Simulink Design Verifier不支持在使用Find in Model按钮时搜索参数。有关更多信息,请参阅 在多个模型之间共享配置

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

进口

从文件中存储的列表中将参数添加到参数表

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

出口

参数表中的当前参数导出到文件。

依赖

通过将 参数配置 设置为 使用参数表 来启用此按钮。

参数配置文件

指定一个为模型定义参数配置的MATLAB®函数。

设置

默认: sldv_params_template.m

  • 默认文件 sldv_params_template.m 是一个您可以编辑和保存的模板。模板中的注释解释了您用于指定参数配置的语法。

  • 单击浏览按钮选择现有的MATLAB文件。

  • 单击编辑按钮在编辑器中打开指定的MATLAB文件。

依赖

通过将 参数配置 设置为 使用参数表 来启用此参数。

命令行信息

参数: DVParametersConfigFileName
类型:字符数组
值:任何有效的 MATLAB 文件
默认: 'sldv_params_template.m'

另请参阅

使用参数表

浏览...

浏览到参数配置文件。

依赖

此按钮由 启用参数配置 启用。该按钮已被 使用参数表 禁用。

编辑...

编辑当前参数配置文件。

依赖

此按钮由 启用参数配置 启用。该按钮已被 使用参数表 禁用。

分析所有启动变体

指定分析包含 variant 模块的模型,其中变体激活时间参数为 startup

设置

默认:

开启

Simulink Design Verifier 分析包含 variant 模块且 变体激活时间 参数设置为 startup 的模型。

关闭

Simulink Design Verifier 仅分析 变体激活时间 参数设置为 startup 的活动variant 模块。

命令行信息

参数: DVAnalyzeAllStartupVariants
类型:字符数组
值: 'on' | 'off'
默认: 'on'

另请参阅

Variant Activation Time for Variant Blocks

启动变体管理器...

启动变体管理器来查看或定义变体控制参数的约束。Simulink Design Verifier 在分析期间应用这些约束。

另请参阅