hdl generated ip stuck at synthesis part in vivado

3 次查看(过去 30 天)
i have included the generated matlab hdl generated ip in my vivado block design ,but its been stuck at synthesis part,i have tried creating swamp file but it does not work ,could you please help me

采纳的回答

Kiran Kintali
Kiran Kintali 2023-8-25
Consider using resource report to make sure you are at a high level within the limits of the FPGA resources.
sfir_fixed
makehdl('sfir_fixed/symmetric_fir', 'ResourceReport', 'on')
If the algorithm specific resource consumption is within area budgets of your target FPGA consider looking at the critical path estimation report.
>> makehdl('sfir_fixed/symmetric_fir', 'CriticalPathEstimation', 'on')
This can help provide insight into why Vivado Synthesis is not converging.
If you continue to find issues please reach to AMD/Xilinx tech support.
  1 个评论
Prashanthi Pathipati
thanks for helping out sir,as you said we have to on the resourcereport i have did that in hdl workflow advisor ,in hdl code generation ,in coding style i have enabled the option generate report .

请先登录,再进行评论。

更多回答(0 个)

产品


版本

R2023a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by