主要内容

HDL 语言支持以及支持的第三方工具和硬件

HDL Coder™ 生成符合某些 VHDL®、Verilog® 和 SystemC™ 语言标准的 HDL 代码,支持多个第三方 FPGA 综合工具,并为一些 FPGA 和 SOC 板提供现成的支持。

VHDLVerilogSystemC HLS 语言支持

生成的 HDL 代码符合以下标准:

  • VHDL-1993 (IEEE® 1076-1993)

  • Verilog-2001 (IEEE 1364-2001)

  • SystemVerilog-2005 (IEEE 1800-2005)

  • SystemC 2.3 (IEEE 1666-2011)

第三方综合工具和版本支持

HDL 工作流顾问已通过以下第三方 FPGA 综合工具的测试:

  • Xilinx® Vivado® Design Suite 2024.1

  • Xilinx ISE 14.7

  • Intel® Quartus® Prime Standard 23.1

  • Intel Quartus Pro 23.3

  • Microchip Libero® SoC 2024.1

  • Cadence® Genus 21.18

  • Cadence Stratus HLS 23.02

  • AMD® Vitis™ HLS 2024.1

支持的 Xilinx

HDL Coder 为以下用于 IP 核生成工作流的 Xilinx FPGA 和 SOC 板提供现成的支持:

  • Artix-7 35T Arty 开发板、Kintex-7 KC705 开发板、Virtex-7 VC707 开发板

  • Versal AI Core 系列 VCK190 评估套件

  • ZedBoard™

  • Zynq UltraScale+ MPSoC ZCU102 评估套件

  • Zynq UltraScale+ RFSoC ZCU111、ZCU216 评估套件

  • Zynq ZC702、ZC706 评估套件

支持的 Intel

HDL Coder 为以下用于 IP 核生成工作流的 Intel FPGA 和 SoC 板提供现成的支持:

  • Intel Arria® 10 SoC,Arria 10 GX 开发工具包

  • Cyclone® V SoC 开发工具包 Rev. C 和 Rev. D

  • Arrow DECA Max 10、Arrow Soc Kit FPGA 开发板

支持的 Microchip

HDL Coder 为用于 IP 核生成工作流的 Microchip Polarfire® SoC Icicle 工具包提供现成的支持。

自定义板支持

HDL Coder 生成完全可移植、平台独立、针对目标优化的代码。如果不能为您的板提供现成的支持,您可以通过以下方式扩展对您的板的支持:

  • 手动将生成的 HDL 代码集成到您的工具和 FPGA 工程中

  • 生成与板无关的 IP 核,并将 IP 核存储库添加到您的 HDL 工具中。

此支持可扩展到其他供应商(例如 Lattice Semiconductor Corporation®、NanoXplore 等)的板和芯片系列。此外,如果您的板供应商是 Xilinx、Intel、Microchip,您可以通过板定义和自定义参考设计创建一个自定义硬件平台。要了解如何定义自定义板和参考设计,请参阅Register a Custom BoardRegister a Custom Reference Design。有关示例,请参阅Define Custom Board and Reference Design for AMD Workflow

Simulink Real-Time FPGA I/O:Speedgoat 目标计算机

要运行 Simulink Real-Time FPGA I/O 工作流,请安装 Speedgoat I/O Blockset 和 Speedgoat® HDL Coder Integration Package。有关详细信息,请参阅 https://www.speedgoat.com/products-services/i-o-connectivity/simulink-programmable-fpga-i-o

另请参阅

|

主题