UVM 验证

什么是 UVM 验证?

通用验证方法 (UVM) 验证是 ASIC 和 FPGA 项目中使用的一种标准化的设计验证形式。UVM 由标准组织 Accelerra 促成并基于电子设计自动化行业知名公司开发的 ASIC 验证方法。

UVM 验证的主要目标是通过使用可重用的验证组件来提高设计验证的效率。不过,手动创建和调试 UVM 验证组件仍需要大量的工作,特别涉及新算法内容时,而且 UVM 的复杂性可能令设计团队望而生畏,妨碍它的采用。

由于为 ASIC 和 FPGA 设计项目开发的许多算法都从 MATLAB® 代码或 Simulink® 模型开始的,设计团队可以通过直接从 MATLAB 或 Simulink 源代码生成 UVM 验证组件来减少测试平台开发工作。借助 ASIC Testbench for HDL Verifier™ 附加功能,您可以从 MATLAB 代码或 Simulink 模型自动生成 SystemVerilog DPI 组件。这些组件可用作 UVM 验证记分板中的黄金参考检查器模型,混合信号仿真中的行为数字或模拟组件模型,或充当 UVM 验证激励的序列。

HDL Verifier 还可以直接从 Simulink 模型生成完整的 UVM 验证环境。HDL Verifier 可从测试平台的模型中生成 SystemVerilog UVM 序列驱动程序监视程序记分板组件。它还能为在测行为设计 (DUT) 生成 SystemVerilog 文件。之后,行为 DUT 就可以用手动编码的 RTL 或 HDL Coder 生成的 RTL 来替换了。生成的 UVM 验证环境可与 Siemens® ModelSim® 或 Questa®、Cadence® Xcelium™ 或 Synopsys® VCS® 结合使用。

图中显示如何使用 H D L Verifier 将 Simulink 模型的若干部分,如 U V M 序列器、U V M 记分板和行为 D U T,生成到一个 SystemVerilog U V M 环境

通过从 Simulink 模型生成 UVM 测试平台来执行 UVM 验证。

有关详细信息,请参阅 HDL Verifier

另请参阅: HDL Verifier, ASIC 设计